Extreme Ultraviolet Lithography Is Insane

People reading yesterday’s piece on China’s semiconductor industry have been asking “Wait, are you saying every semiconductor maker in the world relies on one Dutch firm?”

For new, cutting edge fabs, the short answer is yes. If you’ve bought a new computer or smart phone in the last year, the chances that at least some of the layers in some of the chips went through an ASML EUV stepper approaches 100%.

The technology required to produce EUV sounds like something a crazy person would dream up:

Earlier generations of kit employ lasers to produce light directly. But as wavelengths shrink, things get trickier. Inside a cutting-edge EUV machine 50,000 droplets of molten tin fall through a chamber at its base each second. A pair of lasers zap every drop, creating a plasma that in turn releases light of the desired wavelength. The mirrors guiding this light, made of sandwiched layers of silicon and molybdenum, are ground so precisely that, if scaled to the size of Germany, they would have no bumps bigger than a millimetre. Because EUV light is absorbed by almost anything, including air, the process must take place in a vacuum. To get into the production facilities, your correspondent had to don a special suit and leave his notebook behind, lest it shed unwanted fibres.

The machines, weighing 180 tonnes and the size of a double-decker bus, are themselves a testament to the electronics industry’s tangled supply chains. ASML has around 5,000 suppliers. Carl Zeiss, a German optics firm, fashions its lenses. VDL, a Dutch company, makes the robotic arms that feed wafers into the machine. The light source comes from Cymer, an American company bought by ASML in 2013. ASML is, in turn, one of hundreds of firms that supply the chipmakers themselves. But it is so vital that Intel, Samsung and TSMC have all chipped in to finance its research and development in return for stakes in the firm.

The Wikipedia entry is even crazier:

The tool consists of a laser-driven tin (Sn) plasma light source, reflective optics comprising multilayer mirrors, contained within a hydrogen gas ambient. The hydrogen is used for keeping the EUV collector mirror in the source free of Sn deposition.

EUVL is a significant departure from the deep ultraviolet lithography standard. All matter absorbs EUV radiation. Hence, EUV lithography requires a vacuum. All optical elements, including the photomask, must use defect-free molybdenum/silicon (Mo/Si) multilayers (consisting of 40 Mo/Si bilayers) that act to reflect light by means of interlayer interference; any one of these mirrors absorb around 30% of the incident light.

Current EUVL systems contain at least two condenser multilayer mirrors, six projection multilayer mirrors and a multilayer object (mask). Since the mirrors absorb 96% of the EUV light, the ideal EUV source needs to be much brighter than its predecessors. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is vulnerable to damage from high-energy ions and other debris such as tin droplets, which require the costly collector mirror to be replaced every year.

Also:

An EUV mask consists of 40 alternating silicon and molybdenum layers; this multilayer acts to reflect the extreme ultraviolet light through Bragg diffraction; the reflectance is a strong function of incident angle and wavelength, with longer wavelengths reflecting more near normal incidence and shorter wavelengths reflecting more away from normal incidence. The pattern is defined in a tantalum-based absorbing layer over the multilayer. The multilayer may be protected by a thin ruthenium layer.

Got that? Good. That Wikipedia page is worth scrolling all the way through once for for the humbling realization of just how complex and precise dozens of different areas of chemistry, physics and optics combine to allow this one semiconductor tool to function.

“Sure, we’re zapping droplets of molten tin with high energy lasers in an atmosphere of pure hydrogen to create pulses of light reflected off eight impossibly smooth mirrors of 40 layers each to pattern billions of lines on a tiny patch of silicon at the heart of a $120 million, 180 ton machine, but it’s actually a lot more complex than I’m making it sound. Also, we do it 96 times on a single pass on a single 300mm wafer, and we handle 170 wafers an hour.”

Here are a couple of videos showing how large and complex an EUV stepper is:

Tags: , , ,

3 Responses to “Extreme Ultraviolet Lithography Is Insane”

  1. […] have suggested that fabs can do multi-patterning for smaller nodes rather than having to use ASML’s extreme ultraviolet stepper. The following video explains why, below a certain threshold, no, you really […]

  2. […] “American chip technology is far ahead of the world.” Also true, though with caveats. For semiconductor manufacturing, TSMC is on the cutting edge, with Intel and Samsung within striking distance. For semiconductor leaders, two American companies (Applied Materials and Lam Research) dominate a fair number of technologies, but Tokyo Electron is competitive in many of them, and ASML dominates the stepper market. […]

  3. […] building a modern, sub-10nm fab, chances are pretty good you need all five. You have to have an ASML EUV stepper, or else you have to go with trailing-edge machines from Canon and Nikon and deal with the […]

Leave a Reply